bind 9.2.3 assertion failure

Reed Gregory reed at progoth.com
Wed Jan 28 18:11:23 UTC 2004


On one of our nameservers named fails with the following message:

ns named[2473] adb.c:997: INSIST ((((entry) != ((void *)(0)) && 
((( const isc_magic_t *)(entry))->magic == ((('a') << 24 | ('d') <<16 | 
('b') << 8 | ('E')))))) failed
ns named exiting due to assertion failure

Anybody have any ideas on this?  Should be downgrade to the latest bind8 or
what?

Thanks
-- 
Reed Gregory


More information about the bind-users mailing list