assertion failure bind 9.2.1

Mark_Andrews at isc.org Mark_Andrews at isc.org
Tue Aug 13 16:30:48 UTC 2002


> 
> Strange... our secondary NS died this morning with the following
> message:-
> 
> named[7322]: adb.c:727: INSIST((((name) != 0) &&
> (((const isc__magic_t *)(name))->magic == ( (('a') << 24 | ( 'd') << 16 | (
> 'b') << 8 | ( 'N')))))) failed
> named[7322]: exiting (due to assertion failure)
> 
> This comes from the line in "adb.c" that says:
> 
> INSIST(DNS_ADBNAME_VALID(name));
> 
> Anyone else seen this?

	It's a know race problem with the threaded build that we are yet
	to track down.

	Mark

> 
> Dave
> 
> -- 
> Dave Hill                   <dhill/at/zeus.com>          Senior SysAdmin
> Tel: +44 1223 525000                                Fax: +44 1223 525100
> Zeus Technology Ltd                                  http://www.zeus.com
> Zeus House, Cowley Road, Cambridge, CB4 OZT, UK
> 
--
Mark Andrews, Internet Software Consortium
1 Seymour St., Dundas Valley, NSW 2117, Australia
PHONE: +61 2 9871 4742                 INTERNET: Mark.Andrews at isc.org


More information about the bind-users mailing list